FPGA常用代码

计数 reg [19:0]cnt; reg en_cnt; //使能计数寄存器 //计数使能模块 always@(posedge Clk or negedge Rst_n) if(!Rst_n) cnt <= 20'd0; else if(en_cnt) cnt <= cnt + 1'b1; els
posted @ 2020-10-26 15:19  闪亮的敦敦  Views(781)  Comments(0Edit  收藏  举报