基于FPGA的图像直方图均衡化处理verilog实现,包含tb测试文件和MATLAB辅助验证

1.算法运行效果图预览 FPGA的仿真图如下: 将数据导入MATLAB,对比结果如下: 2.算法运行软件版本 MATLAB2022a vivado2019.2 3.部分核心程序 `timescale 1ns / 1ps // // Company: // Engineer: // // Create
posted @ 2024-06-06 20:09  简简单单做算法  阅读(14)  评论(0编辑  收藏  举报