Systemverilog for design 笔记(四)

转载请标明出处 数组、结构体和联合体 1. 结构体(struct) 1.1. 结构体声明 结构体默认是变量,也可以声明为线网 var struct { // 通过var进行结构体变量声明 logic [31:0] a, b; logic [ 7:0] opcode;} Instruction_Wor
posted @ 2018-06-22 21:45  渝雪柒柒  阅读(1985)  评论(0编辑  收藏  举报